Spin on Carbon Market Size, Share, Industry Report, Revenue and Growth Drivers - 2028

Posted by Steve Stark on December 29th, 2023

The spin on carbon market is projected to grow from USD 199 million in 2023 to USD 747 million by 2028, registering a CAGR of 30.2% during the forecast period. The rapid technological advancements in semiconductor manufacturing, the complexity of integrated circuits, and the development of advanced packaging solutions are expected to propel the spin on carbon in the semiconductor market in the next five years. However, competitive substitution materials will likely pose challenges for industry players.

Driver:  Expansion of EUV (Extreme Ultraviolet) Lithography in Memory manufacturing

With the growing adoption of data-driven technologies, artificial intelligence, and various high-performance computing applications, demand is increasing for more powerful and efficient chips. Prominent companies such as Intel Corporation, Advanced Micro Devices, Inc., and Taiwan Semiconductor Manufacturing Company Limited have invested substantially in EUV lithography equipment. Spin-on-carbon (SOC) hard mask is useful for the multilayer lithography process because of its high etch resistance, low cost of ownership, low defectivity, high alignment accuracy, good gap filling and planarization for topography. The increasing adoption and development of spin on carbon with EUV lithography process by market players are also driving the market growth.

Restraint: Availability of substitute competitive materials

In the field of semiconductor manufacturing, several materials can serve as alternatives or substitutes for spin-on carbon materials that possess comparable or improved properties and performance characteristics. These key substitutes include spin-on metal, spin-on glass, dielectric polymers and coatings, organic polymers, and others. Inorganic hardmasks, such as silicon nitride (SiN) and silicon oxide (SiO2), offer higher etch resistance and thermal stability than SOC. This makes them more suitable for use in advanced semiconductor applications, such as extreme ultraviolet (EUV) lithography.

Opportunities: Continued miniaturization of electronic devices

The growing trend of miniaturization in passive electronic components is leading to their integration into consumer electronics like smartphones, cameras, and speakers. Continued miniaturization of electronic devices indeed creates significant opportunities for the spin on the carbon market. As the demand for smaller, faster, and more energy-efficient electronic devices continues to grow, the role of spin-on carbon materials becomes increasingly critical in enabling the development of advanced semiconductor technologies.

Download PDF Brochure: https://www.marketsandmarkets.com/pdfdownloadNew.asp?id=134826884

Challenges: Technical challenges associated with the SOC materials

As the development of new SOC materials and processing methods progresses, the problem of spin-on carbon breakdown at high temperatures is likely to be solved. This will make SOC a more viable option for a wider range of applications.

Like it? Share it!


Steve Stark

About the Author

Steve Stark
Joined: July 13th, 2020
Articles Posted: 386

More by this author